在线座谈

热门关键字: 信息化 文本 隧道 调节器 

关于本次座谈

座谈简介

全球可编程逻辑解决方案领导厂商赛灵思公司推出的全新一代旗舰产品系列-高性能Virtex? -6和低成本Spartan? -6 FPGA,开启了 “目标设计平台”新时代。

新的目标设计平台将帮助系统设计工程师极大地提高生产力, 并将开发成本降至最低, 满足有线/无线通信、音频/视频,汽车、安全、消费电子及航空航天和国防等众多终端市场对更高带宽和更高性能永无止境的追求。采用了行业最新工艺技术生产的 Virtex-6 和 Spartan-6 FPGA将可编程逻辑与可配置硬IP相结合,功耗比前一代产品降低达65%,成本降低一半,性能增加一倍。
赛灵思目标设计平台为打造世界级的提高生产率,实现差异化FPGA解决方案, 提供了丰富的设计方法。各个目标设计平台均为赛灵思业经验证的IP, 参考设计,设计服务,开发版和赛灵思创新的软件设计方法论的完美结合。 利用这个通用的、针对所特定市场需求的平台,客户只需专著在自己的核心需求, 即可实现真正的差异化系统, 并同时大大地提高生产率.

通过此次在线研讨会, 参与者将了解到:
- 利用硬IP 和可编程能力的整合实现更高的系统集成
- 构建系统高速串行接口
- 简易的IP迁移
- 通过可重用的IP及易用性提高生产率
- 通过高效的功耗管理实现产品的差异化

专家介绍

梁晓明 梁晓明
亚太区通信业务拓展高级经理
梁晓明先生是赛灵思公司亚太区通信业务拓展高级经理,负责公司通信领域产品和解决方案在亚太区的营销和推广工作。2003年加入公司以来,长期负责公司高端产品亚太区市场营销,专注于高速连接,嵌入式处理和逻辑结构方面。在加入赛灵思公司之前,梁晓明先生在华为技术股份有限公司担任逻辑设计研发项目经理,从事硬件设计和大规模FPGA逻辑设计,拥有四年经验。他在高速数字系统、ATM、交换式路由器及媒介网关系统等方面拥有丰富的知识和经验,曾领导其小组成功地完成了二十多项产品设计。 梁晓明先生1999年毕业于华中科技大学,取得电气工程学士学位。

精彩问答

主题:利用基于Virtex-6和Spartan-6的 目标设计平台提高生产率和易用性
在线问答:
[主持人:ChinaECNet] 各位听众(网友),上午好!欢迎参加中电网在线座谈。今天,我们有幸邀请到Xilinx公司的专家就“利用基于Virtex-6和Spartan-6的目标设计平台提高生产率和易用性”举行在线座谈。在座谈中,您可就您关心的问题与Xilinx公司的专家在线进行直接、实时的对话交流。中电网衷心希望通过大家的共同努力,不仅能够增进各位听众(网友)对“利用基于Virtex-6和Spartan-6的目标设计平台提高生产率和易用性”的了解和掌握,而且能够为大家事业的发展带来裨益。  [2009-3-31 10:01:22]
[问:chinawml] 设计现在使用的是Spartan-3E芯片,是否可以不作修改转移到Spartan-6平台。如果要修改的话,会有哪些方面。请您就硬件和编程两方面设计加以说明,谢谢。 
[答:Boris Qin] 不需要做修改,因为我们的软件可以支持向后兼容。但你可以根据Spartan-6的结果做进一步的优化。  [2009-3-31 10:17:36]
[问:hlxgoodgood] 在设计电路时,我最担心是FPGA与DDR之间的连接设计,这里Xilinx提供了MIG软件来帮助设计,但是我还是觉得Xilinx在DDR设计方面的说道特别多,注意的东西也特别多,不知道在Spartan-6系列中,DDR(DDR2)的设计是否变得更简直容易? 
[答:Boris Qin] Spartan-6系列会变得更容易,因为Spartan-6有了硬核的memory控制器  [2009-3-31 10:20:51]
[问:LaoChen] 利用Virtex-6在降低功耗方面有那些比较切实可行的措施? 
[答:Boris Qin] 首先我们的软件可以根据Virtex-6的结构做功耗的优化,软件里面综合和布局布线都有功耗优化选项,作为用户您还可以通过控制各个元件的Enable Rate等手段降低功耗,另外Virtex-6 -1L的器件可以支持0.9V的核电压,这也大大降低了动态功耗和静态功耗  [2009-3-31 10:30:05]
[问:xixipost] Virtex-6和Spartan-6就提高生产率方面较其它平台有何优点? 
[答:Yijing Liu] 新的ISE软件会对这两个平台优化,更加容易使用。另外配合IP,开发平台,参考设计,设计以及技术支持可以让客户产品上市时间大为缩短。  [2009-3-31 10:30:25]
[问:eRen] spantan-6支持arm contex-m1软核吗? 
[答:Boris Qin] 可以支持  [2009-3-31 10:31:10]
[问:liguang169] Virtex-6 Family Product Table系列与Spartan-6 Family Product Table系列在应用上有哪些不同?如何来选型? 
[答:Xiaoming Liang] Virtex系列是高端FPGA,提供更高的系统性能和更大的逻辑容量,集成了很多硬件IP核。Spartan系列是为更低系统成本优化的,大量用于替代传统ASIC和传统定制逻辑器件,而且现在也集成了3.2Gbps高速串行口和硬件内存控制器。客户在选型的时候可以先看Spartan-6系列能否满足您的需求,如果要求的性能特别高就选Virtex-6. 对采用新产品持保守态度的客户现在还可以选择主流的Spartan-3和Virtex-5系列。  [2009-3-31 10:34:30]
[问:zhengbh] 请问在V6器件上部分功能的重构是如何实现的?与前面系列器件有什不同? 
[答:Boris Qin] 我们提供bus macro可以将需要重构的逻辑和其他逻辑分开,每一部分逻辑都有自己的地址,因此可以实现部分重构,与前面的系列基本相同。  [2009-3-31 10:38:40]
[问:Xilinxlynn] Spartan6器件的特点以及针对的市场是什么? 
[答:Boris Qin] 采用可靠的低功耗45nm 9层金属布线双层氧化工艺,结构利用了可靠成熟的Virtex架构,高效双寄存器6输入LUT(查找表)逻辑,丰富的内建系统级模块包括DSP逻辑片,高性能集成存储器控制器支持DDR、DDR2、DDR3和移动 DDR存储器,高速收发器以及PCI Express 接口内核。专门针对成本和功率敏感的市场(如汽车娱乐、平板显示以及视频监控)。LX FPGAs – 针对需要绝对最低成本的应用而优化。LXT FPGAs为串行连接提供了业界最低风险和最低成本的解决方案。  [2009-3-31 10:40:05]
[问:wdefu] Virtex-6 HXT FPGA作为优化的通信应用需要最高的串行连接能力,最大支持多大的带宽?有相关的参考涉及吗? 
[答:Yijing Liu] Virtex6 HXT器件最多包含64个GTH串行收发器,可提供高达11.2Gbps带宽。相关的参考设计请看赛灵思的网站。  [2009-3-31 10:40:20]
[主持人:ChinaECNet] 我们已经进入问答阶段如果听众想重温演讲或内容可以点击下面“回顾演示”重看演讲。  [2009-3-31 10:41:24]
[问:liyanhua] 如果想购买Virtex-6 LXT/SXT  FPGAs的话,如何联系?山东有无代理商? 
[答:Boris Qin] 山东有代理商,下面是联系方式: 青島    安富利电子元件部 中国青岛市福州南路16号 中港大厦1102室. (邮编 266071) 电话: 86-532-8576-4118 传真: 86-532-8578-6467 Xilinx 產品專線: (86) 755-8357-8088 电邮: xilinx-easybuy@avnet.com 世健国际贸易(上海)有限公司 中国青岛市香港中路12号 丰合广场B座503室 (邮编 266071) 电话: 86-532-8502-6537 / 6539 传真: 86-532-8502-6543 电邮: Xilinx@excelpoint.com.hk   [2009-3-31 10:42:23]
[问:Xilinxlynn] 目标设计平台的好处? 
[答:Boris Qin] 除了赛灵思FPGA器件之外,其它单元都由赛灵思和第三方网络联合提供,并有设计服务专家组成的强大生态系统作为后盾。 目标设计平台使软件和硬件设计人员能够充分利用开放标准、通用设计方法、开发工具和运行平台。 这样,设计人员就可以在应用基础设计方面节约大量时间,从而能够将更多时间投入到能够为最终应用带来差异化的功能开发之中。  [2009-3-31 10:44:05]
[问:hlxgoodgood] 你好,我以前使用Spartan3ADSP1800芯片。在设计时遇到的问题就是FPGA芯片引脚问题,在Xilinx的Fpga中,好多引脚都定义为输入引脚,这给设计带来很多不便,我想问问,在Spartan6和V6中,很多引脚的定义是不是还是被定义为只能作为输入使用? 
[答:Boris Qin] Spartan-6和Virtex-6没有这个限制。  [2009-3-31 10:44:10]
[问:wdefu] Virtex-6 FPGA有支持下一代3GPP-LTE 和 LTE的相关技术参考吗? 
[答:Xiaoming Liang] Xilinx已经提供下一代3GPP-LTE 和 LTE的相关技术参考设计,现在已经在成熟的Virtex-5系列上实现了。后续会在Virtex-6系列上做进一步的优化。  [2009-3-31 10:45:19]
[问:eRen] spantan-6在安全、防破解方面有那些措施?有硬核支持吗? 
[答:Yijing Liu] Spartan-6继承了Spartan-3上广受好评的Device DNA技术。在Spartan-6的某些型号上增加了和Virtex5上面的AES加密技术,在安全性上面更加增强。  [2009-3-31 10:46:25]
[问:Xilinxlynn] 为什么说Xilinx在性能上领先? 
[答:Boris Qin] 内部逻辑快25%串行收发器带宽提高170%并行接口带宽提高33%时钟支持1GHzDSP提高200%整合DDR3@800MHz控制器  [2009-3-31 10:47:08]
[问:h0uwenb0] 各种编程器中是否使用的就是FPGA的芯片?芯片的各脚所能承受电平是多少?如果要直接输出12V-15V时,是否这个片子还要电平转换? 
[答:Xiaoming Liang] 新一代FPGA的输出电平最高只有3.3V或2.5V,因此要直接输出12V-15V,必须使用外部电平转换器。  [2009-3-31 10:48:07]
[问:Xilinxlynn] 目标设计平台对用户有哪些帮助? 
[答:Boris Qin] 赛灵思提供的目标设计平台提高了FPGA解决方案的灵活性,可用性并缩减了用户的开发周期  [2009-3-31 10:49:08]
[问:lralra] 请问可编程中程序的安全性和保密性如何?如果竞争对手拿到设备能否读出程序并破解? 
[答:Boris Qin] 不会破解,因为逻辑设计不同于软件设计,无法做反向工程,就是你得到别人的下载文件,也无法推断出原始设计的网表或代码。目前只有一些科研机构在做这样的研究,但是他们还有很长的路要走。  [2009-3-31 10:49:26]
[主持人:ChinaECNet] 各位观众,现在用户提问很踊跃,专家正在逐一回答。请耐心等待您问题的答案,同一问题请不要多次提交。  [2009-3-31 10:51:49]
[问:Xilinxlynn] GTP, GTX, GTH各自有什么特点? 
[答:Boris Qin] GTP 功耗最低,速度可达3.2GGTX 功耗较低,速度达6.5GGTH 功耗最大,速度可达10G  [2009-3-31 10:52:08]
[问:Xilinxlynn] Virtex-6和Spartan-6都有哪些家族?各自有什么特点 
[答:Boris Qin] Spartan-6 LX – 低成本,逻辑资源丰富Spartan-6 LXT – 低成本,丰富的逻辑,高速的收发器Virtex-6 LXT – 高逻辑密度,高速串行收发器Virtex-6 HXT – 高逻辑密度,超高速串行收发器Virtex-6 SXT – 高逻辑密度,高速串行收发器,极其丰富的DSP模块  [2009-3-31 10:54:08]
[问:xixipost] Spartan-3E芯片是否可以直接移植到Spartan6平台? 
[答:Yijing Liu] Spartan3E的设计可以移植到Spartan6平台。但是对于某些特殊的设计,比如设计中使用到了特殊的IP,为了能获得更好的性能,还是要再新的平台上面重新成生的。  [2009-3-31 10:54:45]
[问:Xilinxlynn] Virtex-6和Spartan-6相比上一代产品有哪些优势? 
[答:Boris Qin] - 系统成本显著降低- 功耗大幅降低- 开发周期缩短- IO总带宽提高  [2009-3-31 10:56:08]
[问:sduboy] 请问Virtex-6 和 Spartan-6 FPGA通过哪些措施来降低功耗? 
[答:Boris Qin] 硬件方面可以降低核电压,比如V6和S6的-1L器件的核电压分别只有0.9V和1.0V,核电压的减少,大大降低静态功耗,和动态功耗;还可以通过控制温度来降低静态功耗,温度的降低,将显著减少静态功耗。 软件方面,在综合和布局布线的时候都有功耗优化的选项; 设计方面,可以控制enable rate,就是在某个元件不工作的时候,可以让它disable。  [2009-3-31 10:56:25]
[问:zdxd] 请问Spartan-6支持局部动态可重构(DPR)功能吗? 
[答:Boris Qin] s6可以支持局部可重构,这是s6和前一代相比的一个新功能。  [2009-3-31 10:57:10]
[问:fcdeng@fiberhome.com.cn] 以前Spartan3的器件每个LC含2个4-lut和2个DFF,请问Spartan6的一个LC有几个6-LUT和DFF? 
[答:Yijing Liu] Spartan6的CLB结构类似Virtex5,每个SLICE包含2个LUT,每个LUT对应2个触发器。Spartan6种的触发器资源密度更大了。  [2009-3-31 10:58:35]
[问:yang.an.zh@263.net] 我们想做10Gbits/s速率是FEC(前向纠错编码)有没有比较好的解决方案, 10G串行---16路622Mbits/s------并行处理-----纠错编码----并串变换---约11Gbits/s线速率 谢谢! 
[答:Boris Qin] 可以考虑Virtex-6的HXT系列,它的高速IO,就是GTH,每个通道可以达到11.2Gbps的速率。  [2009-3-31 11:00:27]
[问:chujb] 外部的ddr最大可以接多大位宽? 在你们的demo板上可以跑到多少? Spartan-6上的MCP是硬的ip core还是逻辑生成的? 
[答:Xiaoming Liang] Virtex-6系列可以外接 多组144bit DDR/DDR2/DDR3内存(800Mbps/1066Mbps),Spartan-6系列可以连接多达4组16bit DDR/DDR2/DDR3内存,接DDR2/3时每一组的带宽可以高达12.8Gbps. Spartan-6系列是硬件内存控制器。Virtex-6是软核。  [2009-3-31 11:01:07]
[问:Xilinxlynn] Virtex6器件的特点以及针对的市场是什么? 
[答:Boris Qin] 采用第三代Xilinx ASMBL? 架构的40nm制造工艺,高效双寄存器6输入LUT(查找表)逻辑,增强的DSP模块,丰富的IO,高速度互连,支持DDR3。比前一代产品功耗降低多达50%,成本降低多达20%。Virtex-6 LXT FPGA – 优化目标应用需要高性能逻辑、DSP以及基于低功耗GTX 6.5Gbps串行收发器的串行连接能力Virtex-6 SXT FPGA – 优化目标应用需要超高性能DSP以及基于低功耗GTX 6.5Gbps串行收发器的串行连接能力Virtex-6 HXT FPGA – 作为优化的通信应用需要最高的串行连接能力,多达64个GTH串行收发器可提供高达11.2Gbps带宽  [2009-3-31 11:03:08]
[问:wdefu] Virtex-6 FPGA系列的IP库支持以什么方式提供,需要单独采购吗? 
[答:Xiaoming Liang] 首先,所有硬件IP是免费的。Virtex-6 FPGA系列的IP库中的基础IP是免费提供的, 比较复杂的IP有一部分需要付费需要单独采购。  [2009-3-31 11:03:52]
[问:jingzhou] 1、Virtex 6在高速数传方面Rocket IO有什么新的技术?可支持的最大数据率是多是? 2、该系列的功耗较此前系列有何优势? 3、该系列FPGA的应用主要针对于哪个领域? 
[答:Boris Qin] 1, Rocket IO在V6平台上有两种,一种是GTX,一种是GTH,前者最大速率是6.5G, 后者是11.2G 2,GTX功耗相对较低,每个通道大约150 mW左右,和配置相关 3,这个系列可以用在多种领域,包括无线,视频,信号处理,汽车电子等等。  [2009-3-31 11:04:18]
[主持人:ChinaECNet] 各位观众,在线问答进行的同时,您可以随时填写问卷调查,赛灵思公司将对您的回答进行回访。此外,凡完整填写问卷调查的观众均有机会获得由赛灵思提供的限量版POLO衫。  [2009-3-31 11:04:26]
[问:iketty] FPGA做数字滤波运算的精度是否不如用DSP微处理器软件运算精度高? FPGA是否只能做整数相乘,带小数的实数如何FPGA在内实现加乘运算? FPGA内部生成的逻辑器件,其时序延迟是否是确定的,如何控制逻辑器件时序关系 ? 
[答:Xiaoming Liang] Virtex-6 中可以实现单精度和双精度的浮点处理单元软核。DSP硬件单元是定点的18x25处理。客户可以根据实际需要选择精度。  [2009-3-31 11:07:20]
[问:leonqin] Spartan-6上的PCIE支持到什么程度啊,有硬IP吗? 集成了DMA控制器了吗 
[答:Xiaoming Liang] Spartan-6上有PCIE x1硬核。DMA控制器是软的.  [2009-3-31 11:08:05]
[问:fcdeng@fiberhome.com.cn] 我的工程需40Gbps的连接速率,请问Virtex6的多个GTH可否同时应用?功耗应注意哪些方面? 
[答:Boris Qin] 可以同时应用, 功耗方面请用我们提供的XPE功耗估算表格来估计一下功耗,然后再做电源方案  [2009-3-31 11:08:06]
[问:wuerzh_2001] 在一些设计中,发现PLL和DLL数量不能满早要求,在Virtex-6芯片中有多少PLL,分频比多少位可设置?最大产生多高速率时钟? 
[答:Yijing Liu] 在Virtex6芯片中去掉了DCM,全部是PLL,在结构上称为MMCM。最大有18个MMCM。可以支持1/8分辨率的分频,VCO 1.6G。  [2009-3-31 11:08:53]
[问:Xilinxlynn] 什么是赛灵思的目标设计平台?目标设计平台主要包括那些内容? 
[答:Boris Qin] 作为全球可编程逻辑解决方案的领导厂商,赛灵思公司为用户提供以FPGA为核心的一整套解决方案,称为目标设计平台,其中包括基础平台,特定领域平台,特定市场平台。其中:基础平台包括:- FPGA 硬件- ISE Design Suite设计环境- 第三方综合、仿真和信号综合工具- 通用的参考设计,如存储器接口和配置设计- 用于运行参考设计的开发板- 众多应用广泛的IP,如GigE、Ethernet、存储器控制器以及PCIe领域专用平台包括:- 更高级设计方法学和工具- 领域专用的嵌入式、DSP和连接功能IP- 领域专用的开发硬件和子卡- 针对嵌入式处理、连接功能和DSP而优化的参考设计,操作系统(嵌入式处理所需的)和软件市场专用平台包括: 基础平台和领域专用平台、参考设计、以及用于运行参考设计电路板(或子板)。所有这些都针对特定的市场(如,车道偏离预警系统、视频数据分析以及显示处理等)而优化。  [2009-3-31 11:09:08]
[问:joiny] 使用Spartan6器件,画pcb应注意什么? 
[答:Xiaoming Liang] XILINX公开DEMO的全部设计文件,客户可以尽量参考。同时留意XILINX的PCB设计手册文档。  [2009-3-31 11:09:28]
[问:carrie_hsieh] 現在V6只支援2.5v I/O,但是現在還是很多3.3V component,是否有建議作法處理這類接口問題? 
[答:Boris Qin] 这方面我们将会以文档的形式提供相应解决方案。  [2009-3-31 11:11:14]
[问:cdwangxiuying] RapidIO接口是否支持在virtex-6平台上实现X1和X2通道Serial RapidTO链接? 
[答:Boris Qin] 可以支持x1, x4  [2009-3-31 11:11:41]
[问:zdxd] Spartan-6 的硬核内存控制器和软核相比有什么优势? 
[答:Yijing Liu] 在使用软核时候在Timing,IO,SI等方面都需要注意,设计比较复杂耗时间。使用硬核可以让使用者不需要考虑这些方面,只要考虑本身应用即可。在易用性有优势。  [2009-3-31 11:12:26]
[问:zhengbh] v6系列采用串行rapidIO技术的速度可以达到多少?v6系列有没有RapidIO免费的core? 
[答:Xiaoming Liang] Virtex-6将会支持6.25Gbps的RapidIO标准,我们还会和业绩主要的RapidIO接口芯片做完整的互操作测试。只是该2.0标准尚为最后制定完成。RapidIO IPcore不是免费的。  [2009-3-31 11:12:46]
[问:kaoruzhu] 相比virtex-5,6有什么卖点足够让用户去升级呢? 
[答:Boris Qin] 相对于前一代的产品,V5, V6具有更大的逻辑密度,更低的功耗,提供更加丰富的硬核,更多的IP和参考设计,这些都将大大降低您产品的成本。  [2009-3-31 11:15:31]
[主持人:ChinaECNet] 各位观众,现在用户提问很踊跃,专家正在逐一回答。请耐心等待您问题的答案,同一问题请不要多次提交。  [2009-3-31 11:17:21]
[问:hawky] Virtex-6相对于V5系列主要革新和优势有哪些?从V5到V6的设计是否能够比较简单的兼容? 
[答:Yijing Liu] 基于采用第三代Xilinx ASMBL? 架构的40nm制造工艺,Virtex-6 FPGA系列还拥有新一代开发工具和早已针对Virtex-5 FPGA而开发的广泛IP库支持。这些都为多产的开发和设计移植提供了强大的支持。 与竞争厂商提供的40nm FPGA产品相比,新的Virtex-6 FPGA系列器件性能提高15%,功耗降低15%。新器件在1.0v 内核电压上操作,同时还有可选的0.9v低功耗版本。 这些使得系统设计师可在设计中采用Virtex-6 FPGA,从而支持建设“绿色”中心办公室和数据中心。 V5的设计可以移植到V6,但是某些特殊的IP需要在新的平台下面重新生成。  [2009-3-31 11:18:02]
[问:zdxd] Spartan-6支持局部动态可重构技术,有没有相应的软件和参考设计?谢谢! 
[答:Boris Qin] 我们将在ISE 11.2里面集成这个功能。  [2009-3-31 11:18:04]
[主持人:ChinaECNet] 各位观众,在线问答进行的同时,您可以随时填写问卷调查,赛灵思公司将对您的回答进行回访。此外,凡完整填写问卷调查的观众均有机会获得由赛灵思提供的限量版POLO衫。  [2009-3-31 11:19:35]
[问:fantasticfan] 请问Xilinx有提供成品开发板吗,代理商是谁,北京哪里能买到。Spartan-6的零售价格大概在多少,谢谢。之前在学Altera,想学学Spartan-6。谢谢您的解答。 
[答:Boris Qin] 对于Spartan-6我们会提供一系列针对各种应用的开发板,北京的代理商有安富利和好利顺还有世键,具体的价格请咨询代理商。  [2009-3-31 11:20:32]
[问:liguang169] Virtex-6器件是否都带有以太网MAC?Virtex-6器件也是根据基地址自动选择正确的比特位的吗? 
[答:Boris Qin] Virtex-6是带有以太网mac的。  [2009-3-31 11:22:41]
[问:hawky] 新设计平台的时序分析功能和功耗分析功能是否有所增强?主要体现在哪些方面? 
[答:Boris Qin] 时序分析功能有所加强,主要体现在对异步信号的分析覆盖面更广; 功耗分析的准确度提高。  [2009-3-31 11:24:26]
[问:wdefu] 按照今天的介绍,Virtex-6和Spartan-6可以用于软件无线电的研究与开发,请问有这方面的技术参考吗? 
[答:Yijing Liu] 关于LTE eNodeB目标设计平台查阅这个连接:http://china.xilinx.com/china/ch_prs_rls/2009/PressRelease02252009_b.html  [2009-3-31 11:25:22]
[问:betree] 可否给一些基于Virtex-6和Spartan-6设计平台的典型应用实例!并附有具体的系统综合性能分析! 
[答:Boris Qin] 我们将会基于具体的应用提供相应的参考设计,和相关的文档。  [2009-3-31 11:26:55]
[问:sduboy] 请问如何将设计从上一代Virtex和Spartan器件上移植到新一代器件上? 
[答:Yijing Liu] 使用新一代ISE软件,可以移植老的设计。但是对于特殊的模块(IP等)需要在新的平台下面重新生成。  [2009-3-31 11:28:01]
[问:silency] Spartan-6 LX/LXT系列中哪些规模的器件拥有AES码流加密的功能? 
[答:Yijing Liu] LX100 and LX100T and LX150 and LX150T上面支持AES。  [2009-3-31 11:30:03]
[问:hjb85] 我用spartan就可以实现比较简单的逻辑,但是串口速度比较高,请问s6里包含GTX不 
[答:Boris Qin] S6里面只有GTP,没有GTX,GTP可以工作在3.2Gbps  [2009-3-31 11:30:48]
[问:hlxgoodgood] 在V6和spartan6中,用BPI配置时,该两系列的FPGA是否规定了固定的IO口与Flash相连? 
[答:Boris Qin] 是的。  [2009-3-31 11:32:56]
[问:hlxgoodgood] 针对我们公司情况,我们比较关心V6和Spartan6系列的Fpga在语音视频方面的处理能力,并且Xilinx能否提供这方面的相关资料呢? 
[答:Yijing Liu] 在视频方面S6加入了MCB硬核,GTP,,速度DDR3@800MHz,优化的DSP模块,配合MicoBlaze,以适应高请应用。  [2009-3-31 11:35:40]
[问:hjb85] 请教专家要基于Xilinx FPGA结合matlab进行设计,有什么方法,谢谢 
[答:Boris Qin] 可以用system generator这个工具来实现。  [2009-3-31 11:35:47]
[问:hjb85] 在LUT方面,virtex6有何改进 
[答:Boris Qin] LUT仍然是6输入的,但后面跟了两个寄存器,如果把一个6输入lut配置成2个5输入lut,那么每个5输入lut都有一个寄存器可用。  [2009-3-31 11:37:54]
[问:zhzhihui] 我们选用v5的vsx50T来做数字信号处理芯片。在V6中有性价比更高的选择吗? 
[答:Boris Qin] 可以选择V6的SXT系列,具体价格可以咨询代理商。  [2009-3-31 11:40:41]
[问:kuailesgw] virtex 6在嵌入式系统方面的支持怎么样,可以支持哪些操作系统,易用性怎么样? 
[答:Yijing Liu] 在新的平台上将会推出嵌入式Linux操作系统,以便客户将精力集中于自身应用上。  [2009-3-31 11:40:52]
[问:xixipost] virtex-5用户如何升级?相关的费用又是怎样? 
[答:Yijing Liu] 关于销售信息请联系各地代理商:http://china.xilinx.com/china/company/ch_contacts.htm  [2009-3-31 11:43:05]
[问:leonqin] 如果Spartan6上实现SDI的话,不加外置均衡器,能支持多少长度的cable啊 
[答:Boris Qin] 没有测试过,推荐用外部的均衡器。  [2009-3-31 11:44:13]
[问:7758liulei] 请问V6在实际使用中LE使用率最大在什么百分比性能最优? 
[答:Yijing Liu] 资源利用率和性能通常成反比。占用率和设计的要求有关,对于性能要求不高的设计,100%也没有关系。建议客户使用率最大在75%左右,这样可以为日后扩展以及调试留出余量。  [2009-3-31 11:46:18]
[主持人:ChinaECNet] 所有问题均已提交给Xilinx公司的专家。座谈期间未回答的问题,Xilinx公司专家也会逐一回答,并在中电网上公布,请大家注意收看。  [2009-3-31 11:50:14]
[问:xixipost] 基于Virtex-6和Spartan-6的目标设计平台设计出来的板子上最多能有支持多少USB口? 
[答:Yijing Liu] 利用基础平台再加上应用领域上不同的子版以适用于不同的设计领域。USB接口可以通过子板扩展,具体个数受PHY和扩展接口的限制。  [2009-3-31 11:51:05]
[问:iketty] 影响收发器的信号完整性有那些因素?利用基于Virtex-6和Spartan-6 FPGA在那些方面作了改进? 
[答:Boris Qin] V6和S6在IO block里面有集成的termination,并且有些电平标准可以对驱动强度和slew rate进行编程,用于解决信号完整性问题。  [2009-3-31 11:52:08]
[主持人:ChinaECNet] 所有问题均已提交给Xilinx公司的专家。座谈期间未回答的问题,Xilinx公司专家也会逐一回答,并在中电网上公布,请大家注意收看。  [2009-3-31 11:53:40]
[问:zdxd] 请详细讲解一下 Virtex-6 和 Spartan-6是怎样实现可编程逻辑与可配置硬IP相结合的,谢谢! 
[答:Yijing Liu] V6和S6内部有配置控制器,负责通过配置接口把外部存储器中的配置流读出,然后分解出配置数据并将其写入配置内存(SRAM),配置数据传输完成后进入启动序列,然后FPGA开始按照用户逻辑进行工作。或者通过JTAG进行配置。配置数据可以改变从而实现可编程。具体配置过程请查阅相关器件的配置手册。  [2009-3-31 11:57:34]
[主持人:ChinaECNet] 所有问题均已提交给Xilinx公司的专家。座谈期间未回答的问题,Xilinx公司专家也会逐一回答,并在中电网上公布,请大家注意收看。  [2009-3-31 12:02:52]
  关于赛灵思  

赛灵思(Xilinx, Inc.,NASDAQ:XLNX)是All Programmable FPGA、SoC、MPSoC、RFSoC和3D IC的全球领先供应商,独特地实现了既能软件定义又能硬件优化的各种应用,推动了云计算、5G无线、嵌入式视觉和工业物联网等行业的发展。如需了解更多信息,敬请访问赛灵思中文网站:http://china.xilinx.com/