在线座谈

热门关键字: 信息化 文本 隧道 调节器 

关于本次座谈

精彩问答

主题:利用可编程逻辑降低系统成本
在线问答:
[问:renjunwei]EPC12售价如何,开发工具可以免费提供或借用吗,如果购买售价如何 
[答:Altera专家]具体价格你可以和代理商联系,但是开发工具不能免费提供,但是有提供技术支持。 [2005-6-14 10:30:33]
[问:hsdz]各位专家好!       可编程逻辑器件(PLD延长产品使用寿命的可重编程、可定制逻辑解决方案,解决方案—MAX? II CPLD、 CycloneTM II FPGA和 Nios? II软嵌入式处理器—为解决成本敏感型系统设计挑战提供了令人信服的产品选择,而这三套II开发套件,在应用上是否还需配置附属设施?具体价格?谢谢! 
[答:Altera专家]All Altera Products are support by Quartus II software.  You may download Quartus II Web Edition from www.altera.com without charge.  For device pricing, we will ask our distributors to contact you. [2005-6-14 10:31:09]
[问:mingxin6703]用MAX+PLusII仿真最快能达到多大的速率 
[答:Altera专家]MAX+PLusII 的仿真和器件所能达到的速率没有直接关系 [2005-6-14 10:31:29]
[问:WJerome]我对旋转机组振动信号进行处理,想连续对1024个AD采样数据进行滤波和FFT,采样频率为2KHZ。请问:1.FPGA处理的是浮点数据还是定点数据或者还是其它;2.选用何种FPGA产品比较经济,价格怎样;3.我初次接触FPGA,不知如何进行这样的开发和需要什么样的软件。 谢谢! 
[答:Altera专家]FPGA都可以进行处理,看你的设计而言。如果你使用DSP的话可以用FPGA作为协处理器。 至于你的设计我建议使用CYCLONEii,是目前最便宜的FPGA。 [2005-6-14 10:33:07]
[问:mantoou]1。怎样能有效的在fpga当中有效快速的实现小数除法和反正切运算? 2。怎样把A/D和D/A与写好的FPGA进行连接? 谢谢 
[答:Altera专家]可以使用相应的IP CORE [2005-6-14 10:33:51]
[问:leonqin]在ep1c6t144c8上的niosii可以跑多快? 
[答:Altera专家]如果时钟在125M,你使用NIOSII FAST模式的话可以跑到100DMIPS。 [2005-6-14 10:35:24]
[问:liyafeng007]请问 NIOS II HAL 中有些API 为什么没有在软件开发手册上说明? 
[答:Altera专家]你可以具体说哪些API吗? [2005-6-14 10:36:27]
[问:lujunfeng111]cyclone系列的片子和stratix系列的片子最大的区别在什么地方?是内部结构吗?stratix系列相比cyclone系列最大的优势在哪里? 
[答:Altera专家]The difference between Cyclone (low cost FPGA) and Stratix (High performance) families are density and features.  The largest Cyclone II device is EP2C70, which is 63416 logic elements and the largest Stratix II device is EP2S180 which is 179000 logic elements. Als Stratix II has more I/O, more Mulitpliers, more PLLs. [2005-6-14 10:36:49]
[问:leo_zhao]主MCU可以通过MAX-II的哪些PIN脚去读UFM?可是是任意的几根PIN脚吗? 
[答:Altera专家]首先,UFM 需要用户自己配置模式,如:IIC SPI等.在确定配置模式后在指定MAX2的I/O 所以它是任意指定的 [2005-6-14 10:38:35]
[问:yangqianghao]cyclone2的全序列何时供货 
[答:Altera专家]We have EP2C35ES and EP2C20 ready now.  We will have EP2C5, EP2C8 in Q3.  Full line will be ready by the end of this year. [2005-6-14 10:38:50]
[问:bobo_jane]在用quartus编译的时候为什么Th会有负值出现? nios最便宜的开发板需要多少钱? 
[答:Altera专家]时序报告是与参考时钟关联的,当然可以有负值,如果要转换为正值只需与参考时钟周期相加即可。 nios的开发板价格请联系您的代理商 [2005-6-14 10:38:55]
[问:WJerome]FPGA能处理浮点吗? 
[答:Altera专家]可以, [2005-6-14 10:39:26]
[问:lujunfeng111]cyconle II内部的硬件乘法器怎么调用?如果是自己设计的乘法器,是否能使用这些硬件乘法器?如果能,该怎么调用呢? 
[答:Altera专家]你可以使用QUARTUSII中的MAGAWIZARD调用乘法器,它会提示你是否用硬件乘法器或者LE来实现。 如果自己设计的,可以用SYNPLIFY综合实现。 建议使用QUARTUS调用。 [2005-6-14 10:39:53]
[问:wwsstt2001]1.如何使用FIR和FFT的IP核直接连接形成CPU+DSP结构,能否提供具体应用的实际例子及其结构资料吗? 2.NIOSII里是否有共享资源,其他器件(如:DMA)是否也可以接共享资源,能否提供具体应用的实际例子及其结构资料吗? 3.如何使用NCO--IP核直接连接形成CPU,能否提供具体应用的实际例子及其结构资料吗? 
[答:Altera专家]Please contact judewu@cytech.com, tell me your detailed question and I can send some reference design to you. [2005-6-14 10:40:57]
[问:leo_zhao]MAX-II的两个电压(核电压和IO电压)上电顺序有要求吗?一起上电有没有问题? 
[答:Altera专家]和其它CPLD不同的是我们的MAXii没有上电顺序要求。一起也没有问题。 [2005-6-14 10:41:01]
[问:cqz]PLEXUS 案例中的18个UART 是Nios II处理器内含的还是使用FPGA资源通过IP核实例化得到? Nios处理器核怎么管理它们? 
[答:Altera专家]It is using our SOPC builder to generate teh code and put in FPGA logic elements. [2005-6-14 10:41:23]
[问:leonqin]cycloneii可以支持DDR400吗 
[答:Altera专家]Cyclone II 支持333 Mbps (167 MHz)的DDR ram 您可参阅: http://www.altera.com/technology/memory /sdram/mem-ddr_sdram.html [2005-6-14 10:41:28]
[问:oliverxujun]请问:如果想要把MAX3000的JTAG引脚用作GPIO,那么应该怎么做? 
[答:Altera专家]你用编程器来PROGRAM你的器件,这样你的JTAG引脚就可以作为普通IO。 [2005-6-14 10:42:04]
[问:leonqin]altera提供的asi参考设计中,采用的是C6或C7级别的Cyclone,请问用C8的是否都可以用 
[答:Altera专家]可以用 [2005-6-14 10:42:29]
[问:yedingsheng503]我现在用的是Quartus5.0,用的硬件加密狗(license用以前4.2时的),发觉5.0很不稳定,在启动软件时经常会莫名其妙的退出,还有,对于同一个工程,有时综合出来的结果很奇怪,仔细检查设计没有错误后从新综合(对以前的工程没有任何改动),结果又正确了,你们是否遇到此类情况,有何方法解决 
[答:Altera专家]如果在启动软件时选择从Altera网站下载更新,有可能出现莫名其妙退出的情况,请在options不要选择这两个选项。 由于没有你的工程,所以无法对你的综合做出判断。我们在使用QuartusII50中没有碰到类似问题。 [2005-6-14 10:43:45]
[问:riple]请问,可不可以在sopc builder中添加自己设计的cpu核,或者第三方的cpu 
[答:Altera专家]不行的,SOPC仅支持NIOS [2005-6-14 10:43:59]
[问:zhyunsheng]我在今年3月买了一套QUARTUSII4.1软件现在已经是5.0版我是否能免费升级 
[答:Altera专家]Yes.  You will receive the update version very soon. [2005-6-14 10:44:15]
[问:tanqinhong]cyclone2提供了如sdram等接口控制器的IP CORE 吗? 
[答:Altera专家]cyclone2是器件,他可以支持目前几乎所有的ALTERA的IP CORE。 如果你使用NIOSII,SDRAM CONTROLLER是免费的。 [2005-6-14 10:44:31]
[问:lengqiu007]在VHDL和Verilog中,Quartus II相对来说更支持哪种? 
[答:Altera专家]Quartus II supports both VHDL and Verilog. [2005-6-14 10:44:40]
[问:罗曼谛克]请问如果我的计算机用AMD处理器是不是不能安装quartus和nios? 
[答:Altera专家]当然可以,没有问题。 [2005-6-14 10:44:46]
[问:riple]max ii系列逻辑资源最多的器件何时可以上市,在中国什么时候可以买到? 
[答:Altera专家]EPM2210 is Availability now  [2005-6-14 10:45:20]
[问:fangxiong]Nios II集成开发环境用C语言开发运行效率怎么样,操作系统需要单独购买吗 
[答:Altera专家]运行效率是很高的,操作系统支持如MC/OS2,UCLINUX等,你买了开发板,操作系统就自带的。 [2005-6-14 10:46:02]
[问:monisfic]你们要提供IP Croe,在确定购买之 前,我能先用IPCroe测试系统吗? 
[答:Altera专家]请下载相应的IPcore Plus进行测试 [2005-6-14 10:46:05]
[问:wwsstt2001]在BDF文件里,放入LCELL和SOFT部件有什么用? 
[答:Altera专家]Lcell相当于逻辑延时,综合时是不加进去的,soft是类似的,具体的可以在QuartusII的 Help里面找到 [2005-6-14 10:46:19]
[问:yedingsheng503]我在时序仿真的时候出现 Internal Error: Sub-system: SIM, File: sim_preprocessor.cpp, Line: 2532 machine_iname != 0 Quartus II Version 5.0 Build 148 04/26/2005 SJ Full Version 请问是何原因,有解决方法没 
[答:Altera专家]请在mySupport.altera.com中提交相应的project和出错信息。谢谢! [2005-6-14 10:46:29]
[问:cqz]QUARTUS II4.2不支持MAX II后续器件的编程如EPM240,不能生成POF文件,是否后续有补丁使用? 哪能下载? 
[答:Altera专家]可以使用QUARTUS2 5.0 版本,也可下载补丁 :WWW.ALTERA.COM/DOWNLOAD/ [2005-6-14 10:46:48]
[问:whw4587]clpd的抗电磁干扰能力如何? 
[答:Altera专家]如果要求强的抗电磁干扰能力,建议你选用5V的器件,或者MAXII,因为MaxII 的IO上有一个可选用的施密特触发器。 具体的电磁干扰能力,请查阅相关的数据手册 [2005-6-14 10:47:07]
[问:游来游去]MAX II系列器件到目前总共有多少品种,这些品种又是根据什么来划分的,在实际的应用当中,如何选择合适器件来适应实际的需要 
[答:Altera专家]MaxII有EPM240,EPM570,EPM1270,EPM2210四个系列,具体选择需要看你的资源使用情况,你可以向FAE咨询应该怎么选择 [2005-6-14 10:48:14]
[问:ripplesky]When publish FPGA with ARM7/ARM9 core? 
[答:Altera专家]We do not have plan to put ARM7/ARM9 cord (hardward) in our product yet.  The solution we have now is NIOS/NIOS II (soft core). [2005-6-14 10:48:50]
[问:xixige]signalTAP能实时监测FPGA内部信号么?! 
[答:Altera专家]可以的 [2005-6-14 10:49:00]
[问:gob2000]您好,我想问一下,相对于Xilinx公司,贵公司的产品有什么特点和优势?谢谢 
[答:Altera专家]It needs to take some time to talk about this question.  We will ask our distributors to cotact you regarding this matter.  Thanks. [2005-6-14 10:49:49]
[问:honghui525]在一个设计中,提供的内部资源,比如:BLOCK RAM ,如果有部分引脚不用,如果处理?是接地嘛? 
[答:Altera专家]片内资源的处理方式与电路板上不同,是通过switchbox来进行连线的,对于不用的资源不需要担心 [2005-6-14 10:50:15]
[问:x810421]max3000是不是可以完全代替max7000呢?谢谢 
[答:Altera专家]不完全,请参考两钟器件的数据手册 [2005-6-14 10:51:36]
[问:gmydl]在测试的时候,外部的软核能够和fpga兼容么? 
[答:Altera专家]可以 [2005-6-14 10:52:01]
[问:hcj]请问用CycloneII 能时实现USB引擎吗? 
[答:Altera专家]可以 [2005-6-14 10:52:12]
[问:nx_yzl]cyclone系列芯片的I/O都有那些电压类型?与外面器件接口时是否需要电压转换? 
[答:Altera专家]cyclone的I/O支持除了5v以为,基本所有常见的I/O电压。 比如:LVTTL,LVCOMS,HSTL,等等。 与外面器件接口时一般小于5v就一般不需要电压转换了。 [2005-6-14 10:52:56]
[问:leonqin]请问Cyclone或cycloneii系列与5V器件并用的时候,有什么低成本的好办法 
[答:Altera专家]It requires extrat circuit outside of FPGA to connect 5V components. Please contact our distributor for further information. [2005-6-14 10:53:04]
[问:wang_3130]altera的CPLD内部有没有定时器的? 
[答:Altera专家]NO, there is no timer in CPLD. [2005-6-14 10:53:48]
[问:lujunfeng111]在nios II集成开发环境中,是不是大部分的外设IP Core都是免费可以使用的?如果是付费的,应该怎么购买? 
[答:Altera专家]不是所有IP 都是免费的如果需要购买请联系:艾睿电子各地办事处 [2005-6-14 10:53:58]
[问:WJerome]请问,利用可编程逻辑降低系统成本是如何体现的?除了译码、计数、锁存等常见应用外,还有没有更合适的应用?谢谢! 
[答:Altera专家]可编程逻辑器件相对于专用集成电路ASIC而言,便于修改更新,能加快开发流程,从而获得更快的上市时间。 可编程器件的应用广泛,除了译码、计数、锁存等,还可以实现算术运算,移位寄存器,数字信号处理等很多应用 [2005-6-14 10:54:06]
[问:huahua23]如何在FPGA里加入一个PCI总线接口电路?如果把这个FPGA做在一块PCI接口的电路板上,并插到工控机的PCI插槽中,运行WINDOWS CE操作系统,如何编写驱动程序?有没有范例?谢谢! 
[答:Altera专家]1)一般在fpga中有支持pci的电气标准。 2)你可以根据你的pci要求使用ipcore 或自己写代码实现pci总线接口电路 3)我们提供ipcore时相应或通过第三方提供OS驱动开发工具; 4)具体详细开发信息可以咨询我们local的FAE或访问altera的网站http://www.altera.com.cn/products /ip/iup/pci/m-alt-pci_mt32.html 得到更多信息。 [2005-6-14 10:54:50]
[问:guahuahua]Cyclone C6中调用FIFO是不是只能达到4K的深度 
[答:Altera专家]不一定,你也可以使用你的LE来实现FIFO。 [2005-6-14 10:54:57]
[问:yuexiaqingfeng]quartusII的综合能力和Synplify有多大差距 
[答:Altera专家]要根据你具体的项目具体分析 [2005-6-14 10:55:12]
[问:as409]sopc builder生成hdl源代码与ahdl vhdl那些设计语言有和不同? 
[答:Altera专家]SOPCbuilder可以生成VHDL和Verilog代码,和标准的VHDL和Verilog代码无异。 [2005-6-14 10:56:00]
[问:hyjlm]在FPGA设计中,应如何进行IO引脚的指定,是由开发工具指定好?还是人工指定好? 
[答:Altera专家]当然编译以后你觉得开发工具可以满足你的要求,你就可以不用自己指定了。 一般比较复杂的I/O还是自己指定比较好。 [2005-6-14 10:56:20]
[问:lujunfeng111]cycloneII系列的片子内置了硬件乘法器,能够对FPGA算法的性能提高多少? 
[答:Altera专家]It can be running  at updat o 250 MHz. [2005-6-14 10:56:56]
[问:tdoflying]NIOSII对NIOS的向下兼容性似乎不是很好。原来在NIOS能移植的操作系统在NIOSII总有各种问题。请问NIOSII对U-BOOT的支持如何? 
[答:Altera专家]nios到niosII的移植请参阅Altera相应的AN文档, U-boot在Nios forum中已经有人成功使用了 [2005-6-14 10:57:00]
[问:hcj]NiosII 有MMU模块吗? 
[答:Altera专家]没有 [2005-6-14 10:57:07]
[问:riple]我在sopc builder中添加主设备时,发现对从设备的读时序加入不同延时时,得到的仿真时序是相同的,请问如何控制从设备的读时序。 
[答:Altera专家]可以在添加从设备的时候设定,也可以通过修改ptf实现 [2005-6-14 10:57:14]
[问:bloominglj]dspbuilder中的所有block都能自动转换为VHDL语言吗? 
[答:Altera专家]可以 [2005-6-14 10:57:16]
[问:x810421]在使用MAX3064时,编程定义了一些信号,但是在后面没有使用到,以备将来使用,这样对设计是不是有影响? 
[答:Altera专家]没有的,会有警告出现 [2005-6-14 10:57:39]
[问:fangxiong]在应用Quartus 的时候 仿真特别慢 Nios II集成开发环境的仿真怎么样 
[答:Altera专家]NiosII提供了完善的集成开发环境,仿真环境和QuartusII的仿真环境是一样的。由于需要大量的浮点运算,需要较强劲的硬件环境来实现。 [2005-6-14 10:57:50]
[问:sgwu]NIOS有没有相应的仿真机? 
[答:Altera专家]Nios有自己的开发调试环境:NiosII IDE或SDK [2005-6-14 10:58:21]
[问:wangbina]我用1k30,VHDL的程序中的寄存器用quartusII编译后不占用ram,而采用电路图方法填加双口ram则可以,这是怎么回事? 
[答:Altera专家]因为你用电路图时,QUARTUS默认会调用你的RAM来实现(可选),而你用语言,则是占用你的内部其它资源来实现的。 [2005-6-14 10:58:25]
[问:mingxin6703]我用QuartusII做IP核仿真时功能仿真正确,时序出现 Can"t continue simulation because delay annotation information for design is missing,请指点 
[答:Altera专家]请先产生GENERATE FUNCTIONAL SIMULATION METLIST 文件 [2005-6-14 10:58:33]
[问:wuchengjia]我用altera的cpld做MCU的扩展口,经常出现cpld的GPIO烧坏,是不是不能直接驱动5V的器件。 
[答:Altera专家]如果你使用的是max3000,你需要将每个IO的PCI clamp二极管打开,来兼容5V,同时VCCIO接3.3V。 [2005-6-14 10:59:52]
[问:ansen]请教在plus II中用波形或原理图编辑并烧写CPLD,很可靠吗?如何正确处理空脚、电源、及地脚的分布,以避免不必要的干扰。 
[答:Altera专家]Altera提供可靠的软件工具去实现Altera器件的应用。所以在plus II中用波形或原理图编辑并烧写CPLD,是可靠的。关于如何正确处理空脚、电源、及地脚的分布,以避免不必要的干扰,需要针对具体的器件,请参考Altera网站的具体文档。 [2005-6-14 11:00:03]
[问:zhangshuan]我想移植linux到epxa10开发板上,贵公司可以提供bootloader么,怎么和你们联系阿 
[答:Altera专家]你可以和代理商的FAE联系 [2005-6-14 11:00:15]
[问:bmygg]请问,有没有can协议的ip或者参考设计,能否在maxII中实现?谢谢 
[答:Altera专家]如果你是打算用在NiosII里面的话,只能选择FPGA,CPLD是不可以的,参考设计可以去Nios论坛下载 [2005-6-14 11:03:05]
[问:wang_3130]altera的dsp builder在matlab中能不能仿真自己设计的VHDL文件?能在matlab的波形窗口观测信号吗? 
[答:Altera专家]不能。DSP builder本来的意图就是屏蔽掉VHDL这种复杂的代码,让用户使用更高层的数学函数来描述算法。不过你可以在quartusII里面自己设计VHDL 模块来和DSP builder生成的模块连接。 [2005-6-14 11:03:14]
[问:monisfic]我用SOPCBuilder 生成VHDL文件过后,编译总会有几百个警告,这个会影响结果吗? 
[答:Altera专家]这个不能一概而论,你需要仔细看每个警告的细节,有些警告是需要处理的,有些则可以不理会。 [2005-6-14 11:04:17]
[问:riple]请问,开发板上没用到的管脚该如何设置,不与分配有没有问题 
[答:Altera专家]请设置为输入三态 [2005-6-14 11:04:44]
[问:guahuahua]为什么我在调用FIFO时选用大于4K的深度时就提示错误呢 
[答:Altera专家]这应该是使用的错误,可以利用LE来实现大于4K深度的FIFO  [2005-6-14 11:05:03]
[问:bloominglj]stratix内的ROM,FIFO,RAM,是不是不都是用三种内置的M512,M4K,MegaRAM来生成的! 
[答:Altera专家]不一定,你也可以用你内部的LE来实现。 [2005-6-14 11:05:06]
[问:er6y]使用max3000器件时,没有用到的GCLK以及GOE、GCLR等,还有JTAG引脚怎么处理?能否悬空? 
[答:Altera专家]需要接相应的上拉或下拉,请参阅数据手册 [2005-6-14 11:05:29]
[问:as409]进行数字信号处理时,处理其内部有没有专用的乘加运算器来进行fft等运算 
[答:Altera专家]你可以选用内部有乘法器的FPGA [2005-6-14 11:05:37]
[问:guahuahua]用户IPCORE在QUARTUS中怎样调用 
[答:Altera专家]如果是用户自己设计的IP 可以把它做为一个模块在QII调用 [2005-6-14 11:05:51]
[问:seuic]做一个PCMCIA总线接口电路用哪一款CPLD或FPGA合适?要求功耗和成本尽量低。 
[答:Altera专家]使用MAXII系列的器件就可以,功耗可以做到很低,成本也低。网上有现成的core,你可以找一下。 [2005-6-14 11:06:01]
[问:lujunfeng111]quartus II软件自带的megacore里的FIFO,如果涉及到两个时钟域,存储深度为什么只有2^n-1,而不是2^n?这个缺陷有什么好的补救措施吗? 
[答:Altera专家]存储深度从0开始至2^n-1,恰好2^n个words。所以这不是Quartus的缺陷。 [2005-6-14 11:07:42]
[问:ansen]请教,在一些液晶驱动板上常遇到图像通道转换AD块易被干扰导致无图像输入处理的情况,如能用CPLD或FPGA编程处理,能有效避免干扰的问题吗? 
[答:Altera专家]1)你可以尝试使用maxii的施密特触发器 2)可以在FPGA里面设计数字滤波模块,屏蔽掉特定周期的干扰信号。 [2005-6-14 11:08:04]
[问:lujunfeng111]FPGA内部的PLL外部输出时钟性能怎么样?抖动是否很大?用这样的时钟可以用作中高速的ADC芯片提供采样时钟吗? 
[答:Altera专家]我们的FPGA内部PLL是采用的模拟PLL,性能是比较好的,在STRATIX系列中输出可以到450M.jitter在125ps. [2005-6-14 11:08:23]
[问:lujunfeng111]在Nios II中可以加入用户自定义的外部通讯接口吗?(除了PIO之外)这些通讯接口有多大的灵活性? 
[答:Altera专家]可以,只要符合Nios II 总线规范就可以 [2005-6-14 11:08:38]
[问:honghui525]时序约束在什么时候必须要用? ALTERA 与XILINX 的CPLD ,FPGA 相比,谁的优势更大? 
[答:Altera专家]It needs some time to talk about your question.  We will ask our distributors to contact you regarding this question. [2005-6-14 11:09:19]
[问:leonqin]如果要用lvds接口,是不是相应bank的VCCIO必须接2.5V? 
[答:Altera专家]需要看器件,cycloneII 的Vccio 是要接2.5V  [2005-6-14 11:09:36]
[问:lincol]我选用max2的cpld可能在设计中要开辟一部分RAM是否允许,是否很占器件的资源? 
[答:Altera专家]最好是更改设计,利用MaxII里面的8kbit flash [2005-6-14 11:10:13]
[问:honghui525]FPGA 的配置方面:JTAG, AS等最常用的是那种?JTAG 只能用在调试的时候吗? 
[答:Altera专家]AS 是ALTERA 的配置模式它需要AKTERA提供专用配置芯片,如果需要JTAG可以使用在正常状态下 [2005-6-14 11:10:28]
[问:encaon]能否详细介绍Nios II IDE 的软件开发流程图? 
[答:Altera专家]We will ask our distributors to contact you for more detial information or you may go to www.altera.com to get it.  Thanks. [2005-6-14 11:10:31]
[问:guahuahua]Cyclone和CycloneII都只能用3.3V电源吗?如果是5V信号送进FPGA是不是必须转成3.3V才行 
[答:Altera专家]cyclone and cycloneII 可以支持3.3V,但不能支持5V。如果需要接入5V,需要电压转换器件。 [2005-6-14 11:10:46]
[问:罗曼谛克]我用了贵公司的quartus5.0安装以后.打不开.提示不能读内存.我在两个计算机都发现这个问题了.怎么解决?有的计算机提示tcl.dll.的问题. 
[答:Altera专家]请购买正版软件。相信不会再有这种问题。 [2005-6-14 11:11:13]
[问:wwsstt2001]在NIOSII里是否只有CPU才能有共享资源(如:片内RAM),其它的器件,如MDA是否可以有共享资源(如:片内RAM)? 
[答:Altera专家]你可以添加on-chip memory [2005-6-14 11:12:07]
[问:guahuahua]为什么我在下载程序到配置芯片时,下载一次后断电,再上电下载就下不进去了呢,提示找不到地址,这是什么原因呀 
[答:Altera专家]由于不清楚配置方案和配置器件,所以不能给予明确的debug建议。建议你联系FAE获得现场的帮助。 [2005-6-14 11:12:21]
[问:fanxing302]max pius 是不是有些命令不支持!比如:求余REM!是不是还要用QUARTUS! 
[答:Altera专家]请你最好使用QUARTUS,它能支持最新的器件和拥有最好的性能。 [2005-6-14 11:12:37]
[问:x810421]有没有PCI的IP CORE?哪个CPLD可以实现?谢谢! 
[答:Altera专家]有的,但PCI的IP core不是免费的。 [2005-6-14 11:14:10]
[问:gaozhongbao]想购买学习板,请提供详细的联系方式 
[答:Altera专家]1) Please go to www.altera.com/lowcost to register first 2) Please contact our distributors You only can buy developement kit at discout price after you go to register. [2005-6-14 11:14:16]
[问:wwsstt2001]如何直接使用(即:不用MATLAB)FIR和FFT等IP核直接与NIOSII形成CPU+DSP结构?(贵公司的相关文件中并没有提到!) 
[答:Altera专家]你需要设计相关IP核与NIOSII的AVALON总线连接的相关接口。 [2005-6-14 11:15:45]
[问:cycloneii]uclinux的官方版本可以支持xilinx的microblaze软核,但是不支持altera的niosII软核,请问贵公司有没有使uclinux可以支持niosii软核的补丁?是不是免费的? 
[答:Altera专家]请问您从哪里得到的uclinux不支持altera的niosII软核的? 您可从 Nios Forum上下载免费的全面支持Nios II的uclinux, [2005-6-14 11:17:48]
[问:hcj]Altera Nios2软核随开发系统提供吗? 
[答:Altera专家]NiosII软核需要另外的License,不是有quartusII就可以的 [2005-6-14 11:17:53]
[问:hxzyb]请问利用Altera的可编程逻辑可实现嵌入式系统的那些功能?成本上相比有何优势 
[答:Altera专家]We have a quite few of IP MegaCore like PCI, DDR SDRAM Controller, NIOS II processort etc.  The cost depends on which device that you implements into.  The advantate is system integration.  You can  put all your design into one single chip. [2005-6-14 11:18:33]
[问:wwsstt2001]3.如何使用NCO--IP核直接连接CPU,形成信号发生器?能否提供具体应用的实际例子及其结构资料吗? 
[答:Altera专家]please cantact judewu@cytech.com, I will give you some examples. [2005-6-14 11:18:50]
[问:bloominglj]在dspbuilder中如何调用IP核,购买了dspbuilder软件里自带一些简单的核吗? 
[答:Altera专家]象调用常用模块一样就行了,dspbuilder软件里自带一些简单的核。 [2005-6-14 11:18:55]
[问:leo_zhao]我想用MAX-II内置8K的UFM来代替主MCU用的串行EEPROM(AT24C04),其接口支持标准的IIC吗? 
[答:Altera专家]A reference design or user logic can be used to interface the UFM to many standard interface protocols such as Serial Communication Interface (SCI), Serial Peripheral Interface (SPI), Inter-Integrated Circuit (I2C), Microwire, or other proprietary protocols. [2005-6-14 11:19:13]
[问:huangshihu]请问可编程逻辑器件在工作稳定性方面有什么优化考虑? 
[答:Altera专家]你是指抗干扰吗?CPLD提供多种I/O 形式如:OPEN-DRIVE ,SLOW RATE 等,在用户逻辑设计方面多采用同步设计优化关键路径等 [2005-6-14 11:20:01]
[问:gbjia]Quartus5.0版本中CPU是否有16位的设置? 
[答:Altera专家]NiosII只是32位的,如果用Nios可以是16位的 [2005-6-14 11:20:34]
[问:liyafeng007](1)MicroC/OS-II操作系统是不是在IDE的system library中选择后就会自动安装? (2)参考手册上介绍Timer时提到的system clock 是不是指NIOS II上操作系统时间片? 
[答:Altera专家]1)是您在设置后自动支持 2)是的 [2005-6-14 11:21:32]
[问:abert]NIOS II编好的程序除了在NIOS II仿真外能不能用Modeelsim 仿真? 
[答:Altera专家]可以仿真,但是要用ALTERA的MODELSIM。 [2005-6-14 11:23:30]
[问:zzkeng]Nios II能完成多少位的控制处理或运算?时钟最高为多高? 
[答:Altera专家]32BIT 最高200 DMIPS 175M [2005-6-14 11:24:00]
[问:gbjia]请问sdram和flash可否总线共用?是否会影响速度? 
[答:Altera专家]不可以。sdram需要专用的刷新电路。二者的时序是不同的。 [2005-6-14 11:24:35]
[问:monisfic]我有一块1C20的NiosII开发板。但他上面带的液晶不能满足我的要求。如果我要扩展液晶显示,需要我自己编写驱动程序吗? 
[答:Altera专家]是的 [2005-6-14 11:25:20]
[问:honghui525]CYCLONE 2 提供多少种IPCORE ?DSP 方面有哪些? 
[答:Altera专家]altera的很多IPCORE可以在CyconeII器件上应用。具体实用器件平台和IPCORE的类别,请参考 http://www.altera.com/products/ip/ipm-index.html [2005-6-14 11:25:51]
[问:leo_zhao]如果可以用MAX II内部的FLASH(UFM)代替系统的EEPROM,那么该UFM的写入次数有没有限制?普通的flash的编程次数可达10万次。 
[答:Altera专家]可写入100次 [2005-6-14 11:25:53]
[问:lincol]现在本公司推出的器件大部分内核的频率都达到了250mhz左右,设计中输入全局时钟有没有什么特殊要求呢?是否是频率只要小于内核时钟最大就可以了? 
[答:Altera专家]全局时钟需要看您的设计需求,以及逻辑结构 [2005-6-14 11:27:02]
[问:cnkingwang]请问NIOS2支持 UCOS吗? 
[答:Altera专家]NiosII支持: MicroC/OS-II Lightweight IP TCP/IP Stack Nucleus Plus μCLinux KROS NORTi PrKERNELv4 [2005-6-14 11:27:29]
[问:leonqin]请问要在nios系统里选用以太网控制器,是lan91c111比较好还是DM9000E比较好 
[答:Altera专家]看自己的需要 [2005-6-14 11:27:40]
[问:zzkeng]如何估计MAX II和Nios II的功耗大小?它们对电源有何特别的要求? 
[答:Altera专家]Power consumption depends on your operating frequency.  You may find the related information in MAX II datasheet.  NIOS is an IP, it will depend on which device that you use.  MAX II does not support NIOS currently. [2005-6-14 11:27:43]
[问:wangbina]如果我想运行NiosII除了EP1C6以外是否需要Flash或SRAM才行? 
[答:Altera专家]其实大多FPGA 都有ON-CHIP MEMERY ,关键看设计需要多大的MEMERY 资源 [2005-6-14 11:27:50]
[问:wangbina]1k30的核电压与io电压一起接到3.3V有没有问题?另外我想用VHDL写的程序占用RAM资源应如何做? 
[答:Altera专家]最好分开接。另外最好使用MEGAWIZARD来调用RAM块。 [2005-6-14 11:28:27]
[问:lengqiu007]请问Quartus II4.2网络版能支持多少门的设计需要? 
[答:Altera专家]http://www.altera.com.cn/products/software /products/quartus2web/features /sof-quarweb_features.html This page give you detailed list of the difference between web edition and full vesion.  [2005-6-14 11:28:38]
[问:mingxin6703]如果IP核仿真出的结果不正确是什么原因呢 
[答:Altera专家]原因很多,需要看你的具体情况 [2005-6-14 11:29:06]
[问:dutic]请介绍一下有关Altera的开发环境及工具的情况,谢谢! 
[答:Altera专家]All Altera products are support by Quartus II software.  The latest version is 5.0.  You may download the Quartus II Web Editions software from www.altera.com without charge. [2005-6-14 11:29:10]
[问:bluechip]你好!请问MAX II器件,可否用USB总线来读写UFM(JTAG还是不够方便)?如果可以,如何做到? 
[答:Altera专家]不能用USB总线来读写UFM,如果需要,你必须自己实现Jtag到USB的接口转换。 [2005-6-14 11:29:11]
[问:mingxin6703]在功能仿真,分析综合,生成网表都成功时,时序仿真不成功都有哪些原因 
[答:Altera专家]主要是DELAY方面的你考虑还不够。 [2005-6-14 11:29:20]
[问:riple]从何处可以得到贵公司的news & views杂志,这本杂志对我的工作帮助很大。 
[答:Altera专家]Please contact out distributors.  Thanks. [2005-6-14 11:29:56]
[问:chenjj79]在使用CYCLONE 的EP1C6T144C8(4K ICACHE,2K DCACHE)时发现其图象处理能力不如7312(8K ICACHE,0KDCACHE)CPU,曾用1C20(8K,8K)开发板作过实验发现还是不如7312。请问主要原因是什么?是CACHE的结构问题吗? 
[答:Altera专家]请问,能否给出指标及您的系统环境和FPGA的时钟频率,FPGA的处理速度是高于CPU的 [2005-6-14 11:30:01]
[问:WJerome]请问,利用可编程逻辑降低系统成本,在具体应用中是如何体现的?除了译码、计数、锁存等常见应用外,另外还有哪些更合适的应用? 谢谢! 
[答:Altera专家]比如CPLD还可以实现电平转换,IO扩展;利用复杂的FPGA还可以取代CPU,DSP [2005-6-14 11:30:09]
[问:flxmu]altera的开发板有没有大点的呢?例如100万门的? 
[答:Altera专家]altera各个容量的开发板都有的 [2005-6-14 11:30:28]
[问:lincol]cyclone2的核心电压为1。2v,一般对于1。2v电源要接的各类电容很多很占板子空间!!对于此核心电源有没有参考电路, 
[答:Altera专家]请参考Altera的开发板 [2005-6-14 11:30:28]
[问:smxzyfjb]我使用max+plus的byteblaste(MV)方式下载,JTAG模式可以正常下载。‘但是pc模式总是不能下载。不止是否和计算机并口有关系? 
[答:Altera专家]请参阅ALTERA网站上针对具体器件的连接方式。 另外,下载模式没有PC模式。 [2005-6-14 11:31:10]
[问:fireyhq]要是我写了一段判断上升沿或是下降沿的触发程序。请问,在硬件实现的时候对上升沿时间或是下降沿时间有没有要求?是不是所有型号的器件的IO口都有施密特器件。 
[答:Altera专家]目前只有maxii系列的IO模块里面集成了施密特触发器。对边沿时间没有特别的要求。 [2005-6-14 11:32:33]
[问:mnbvc]1、请详细说明CPLD和FPGA保密性如何,是否可能被别人解密,即把我们的编程拷贝。目前市场上已有许多种可以解密的芯片。 2、申请样片是否容易。 
[答:Altera专家]在ALTERA新一代的MAXII中保密性能很好。但是FPGA一般不支持加密,你可以考虑外接CPLD来进行加密。另外,我们新一代的STRATIXII也可以支持加密。 申请样片请和代理商联系。 [2005-6-14 11:33:47]
[问:buffutt]在FPGA中能不能控制dalay time? 
[答:Altera专家]可以采用插入LCell的形式来实现。但是这种延时会随着环境温度的变化而有所变化,一般建议不采用。 [2005-6-14 11:33:56]
[问:wang_3130]请问哪里可以获得有关niosII的汇编编程文档? 
[答:Altera专家]请联系代理商的FAE [2005-6-14 11:34:25]
[问:mingxin6703]delay我应该怎么更改设置呢 
[答:Altera专家]你指哪里的什么delay? [2005-6-14 11:34:44]
[问:leo_zhao]MAX II的CPLD适合用做接收红外遥控信号(32位)吗?如果适合,怎么实现呢? 
[答:Altera专家]可以做,实现方法要看您的系统需求 [2005-6-14 11:35:01]
[问:supernemocn]PCI桥电路和CPLD连接时要注意那些问题,直接用FPGA模拟PCI桥的开发量大不大? 
[答:Altera专家]可以用PCI .IP 请注意PCI 的IRTY TRDY 信号的指定. [2005-6-14 11:35:23]
[问:cycloneii]现在arm7系列内核的嵌入式处理器价格非常便宜,而且包含非常丰富的外设,而基于fpga的系统只能定制逻辑接口然后外接所需外设,从成本、功耗和电路板面积来看并不占优势,请问基于niosII软核的嵌入式系统有何优势? 
[答:Altera专家]niosII是针对Altera器件的应用的可定制性特别丰富,在可编程器件上实现可以体现出竞争对手无法企及的优势。 [2005-6-14 11:35:49]
[问:anqwe]niosII 可以将ecos操作系统移植进去吗? 
[答:Altera专家]可以,ALTERA有参考设计 [2005-6-14 11:35:51]
[问:bluechip]我要使用UFM存储CPLD运行后产生的几个数据,并在下次加电时取出,如果我使用一个并行的接口完成,应该如何给出地址信号。 
[答:Altera专家]请参考Max II 的handbook 中ufm的接口时序,按时序做就行了 [2005-6-14 11:36:08]
[问:wwsstt2001]请问EP2S180F1020C4的最大功耗是多少?你公司的SHEETDATA中没找到!(急!!!) 
[答:Altera专家]请使用QII的功耗评估工具进行功耗评估 [2005-6-14 11:36:44]
[问:fenglouto]quartus里做的波形仿真是不是只是功能仿真?如果是的话,怎么在quartus里做时序仿真呢? 
[答:Altera专家]Quartus II can support both funcational simulation and timing simulation.  If you use VHDL or Verilog code, you need to create test bench for simulation. [2005-6-14 11:38:21]
[问:riple]在sopc builder中提供的外围设备,在安装文件中有源代码吗?我需要对一些外设进行修改才能使用,但是没有源文件,无法修改。 
[答:Altera专家]没有,不过你可以配置外围设备的一些参数。 [2005-6-14 11:41:15]
[问:cytonline]我想在QUARTUS5.0的VHDL代码中调用74系列的器件(比如74165),应该包含哪个库? 
[答:Altera专家]ieee.std_logic_1164 [2005-6-14 11:41:29]
[问:mingxin6703]是不是写完VHDL程序要想时序仿真还要有testbench呢 
[答:Altera专家]可以不要的,看自己的习惯 [2005-6-14 11:41:47]
[问:monisfic]Sopc Builder 生成VHDL和VerilogHDL,综合效果都是一样的吗? 
[答:Altera专家]都是一样的 [2005-6-14 11:41:59]
[问:WJerome]我用MAX3000,遇到INPUT/OE/GCLK管脚时不知如何处理,是否可当做一般I/O口用?此时只能用作INPUT? 
[答:Altera专家]一般用来做全局时钟输入。可以当成一般的I/O。 时钟引脚只能用作输入。 [2005-6-14 11:42:30]
[问:mingxin6703]IP核时序仿真时delay怎么改啊 
[答:Altera专家]你可以通过很多约束更改。这个要看具体问题。请与FAE联系。 [2005-6-14 11:43:30]
[问:cqz]NIOS处理器的开发工具是专用的么?其语言规范文档在哪 
[答:Altera专家]利用SOPC和NiosII IDE,在你安装完NiosII后,安装目录下有相应的文档 [2005-6-14 11:43:59]
[问:lizhaohui]你们有用CPLD或FPGA进行对媒体数据流进行转化的应用设计案例吗? 
[答:Altera专家]http://www.altera.com.cn/solutions /broadcast/bro-index.html 清在这个网页里面选择适合你的方案。 [2005-6-14 11:45:37]
[问:wang_3130]请问altera的stratix开发板EP1S10F780C6是多少万门的?在芯片上怎样判断? 
[答:Altera专家]EP1S10F780C6 中有10570 个LE FPGA中逻辑资源的计算是通过LE的,原先通过的类似ASIC的门数计算方法是不准确的 [2005-6-14 11:46:03]
[问:gbjia]datasheet中是否有关ALT_NO_INSTRUCTION_EMULATION指令的介绍? 
[答:Altera专家]http://www.altera.com.cn/literature /hb/nios2/n2sw_nii5v2_02.pdf please download this document and read it. You can find the instruction in it. [2005-6-14 11:47:26]
[问:gbjia]IDE中属性设置里面涉及到了ALT_NO_INSTRUCTION_EMULATION的指令,请问是否有关于指令的介绍? 
[答:Altera专家]请参阅NIOS HANDBOOK [2005-6-14 11:47:28]
[问:Hi-E]LCELL在综合时会删除,那我在原理图设计中应该怎么去获得延时 
[答:Altera专家]在原理图中同样可以加Lcell单元 [2005-6-14 11:47:50]
[问:lujunfeng111]3月份,我从altera的骏龙代理处购买了一批ep1c6-6的芯片,结果,只能配置一次,不能重复配置,不知道是什么原因? 
[答:Altera专家]请和骏龙公司的FAE联系。 [2005-6-14 11:48:24]
[问:bloominglj]NIOS中能有一些基本的网络协议的核吗,如TCP/IP? 
[答:Altera专家]有, 支持TCP, UDP, IP, DHCP and ARP [2005-6-14 11:48:33]
[问:chenjj79]FPGA的输入时钟是24M,到CPU是倍频后的80M+4KICACHE+2KDCACHE,而7312是74M+8KICACHE,SDRAM都是HY57V641620HG-T,FPGA-SDRAM——CLK120M,7312-SDRAM-CLK36M。可是为什么NIOS2图象(条码)处理能力反而不如7312呢? 
[答:Altera专家]基于您的系统,可能是使用了大量的软件算法,软件算法节省资源但速度较慢,请使用硬件算法得到更高的性能 [2005-6-14 11:48:42]
[问:fangxiong]NIOS II的实时性能达到什么程度 中断转换 响应时间是多少 
[答:Altera专家]最快可以达到1.2 DMIPS/MHz  [2005-6-14 11:49:00]
[问:wang_3130]quartus4.2SP1网络版支持用VHDL编写的testbench吗? 
[答:Altera专家]支持 [2005-6-14 11:49:12]
[问:monisfic]NiosII的前景如何?选择NiosII而不选专用的CPU的理由是什么? 
[答:Altera专家]Since Altera announced NIOS, it has been very successful and there are over 1000 installation seats.  We believe more and more people will use NIOS II.  To main reasons to choose NIOS II :  easy for invetory, device will not out of date, design integration etc. [2005-6-14 11:50:11]
[问:liyafeng007]请问有没有NIOS II 多核的解决方案、案例?在哪里获得?  
[答:Altera专家]同一FPGA器件上可以放入多个的NIOSII内核。如需要进一步的具体案例和注意事项,请访问mySupport.altera.com获得帮助 [2005-6-14 11:51:17]
[问:routelu]使用CycloneII的LVDS电平IO是否需要配外围电阻? 
[答:Altera专家]cycloneII 有on-chip termination,电路设计起来比cylcone要简单很多。 [2005-6-14 11:52:05]
[问:jqzhuang]nios2 IDE中,为什么我连里面的现有模块都不能build?? 
[答:Altera专家]有可能你的LICENSE 不对 [2005-6-14 11:53:03]
[问:zzkeng]在FPGA设计中,如何控制同步时钟的数量?它在FPGA中如何分布才更合适? 
[答:Altera专家]在FPGA中有全局时钟资源,尽量使用这些资源就可以了 [2005-6-14 11:53:22]
[问:mingxin6703]网络版的QUARTUSII4.1能支持RS码的IP核仿真么 
[答:Altera专家]每个IP核都有自己的版本,也要和相应的QUARTUS配套。 只要版本一致,就可以仿真。 [2005-6-14 11:53:52]
[问:fcr]能否在FPGA里实现HDTV Decoder的功能?担心规模或者速度不能满足。 
[答:Altera专家]Bacically it is no problem for HDTV decoder functions however we need to discuss with you more detail about youre requirement.  Please contact our distributors for further information. [2005-6-14 11:55:19]
[问:wwsstt2001]IP核FIR中使用多通道时,coef_set、coef_set_in、coef_we、coef_in[9..0]等的具体参数的含义和使用方法是什么(贵公司的相关文件里并没有提到)? 
[答:Altera专家]这些是控制信号,fir的用户手册中有详细介绍 [2005-6-14 11:55:22]
[问:wwsstt2001]NIOSII中是否只有一种简易LCD可供选择,对于复杂的(如:彩色大屏幕显示器)LCD,如何实现控制功能! 
[答:Altera专家]在NiosII里面可以加自己的component [2005-6-14 11:55:56]
[问:hellobb]怎么往fpga内部rom中写入数据?需要调用的文件怎么编写? 
[答:Altera专家]请先产生MIF 文件, [2005-6-14 11:56:51]
[问:bluechip]MAX II在使用上与MAX比有哪些重要的区别? 
[答:Altera专家]The architecture for MAX and MAX II is totally different.  MAX II is LE base and MAX is MC base.  Both famlies are CPLD products to support instant on, non-volitile, reprogrammable etc.  MAX II has 4X density, 1/10 of power, 2X of performace than MAX product. [2005-6-14 11:58:41]
[问:wang_3130]VHDL支持的最大整数位是32位,我在用内部信号时,定义的位数超出32位,用quartusii编译没有出错,请问下载到板上会不会有问题? 
[答:Altera专家]请问您从哪里看到VHDL支持的最大整数位是32位? 在设计中1024位的都没见到过问题  [2005-6-14 11:58:42]
[问:flyzs]请问在哪能找到cyclone2的引脚分布资料哦,谢谢! 
[答:Altera专家]你可以在ALTERA网站上进行下载。 [2005-6-14 11:58:51]
[主持人:ChinaECNet]恭喜您, 创享科技的leo_zhao经过电脑抽奖您在本次座谈中获得一部MP3播放器。请网名为leo_zhao的用户与中电网联系(8610-82888222-7008 或 lilin@chinaecnet.com)。 [2005-6-14 11:59:04]
[问:wang_3130]where can i find the material about the testbench using in quartusII? 
[答:Altera专家]You may refer our Quartus II manual or contact our distributors FAE. [2005-6-14 11:59:20]
[问:打造一片天]altera的modelsim 与quartus自带的仿真器相比,功能要强很多吗? 
[答:Altera专家]altea自带的modelsim-Altera版本相对Quartus的仿真器来说,功能更丰富一些。 [2005-6-14 11:59:33]
非在线问答:
[问:]altera 的器件价格相对其他公司要贵,您如何看待这个问题?
[答:Altera专家]那要看同类器件之间的比较,按照目前同类器件之间我们是有价格优势的.
[问:]altera提供的相关资料都是英文的,请问有没有中文版的?
[答:Altera专家]有些是有中文的.但是很详细的DATASHEET都是英文的.
[问:]cpld 可否装载cpu内核?
[答:Altera专家]不可以.
[问:]Cyclone到CycloneII升级硬件需要注意什么,请推荐个逻辑资源=EP1C12,非FBGA封装的CII型号
[答:Altera专家]基本不需要注意什么.EP2C20Q208C8
[问:]Do Altera have NiosII programme handbook?
[答:Altera专家]YES.
[问:]9、IP核FIR中使用多通道时,coef_set、coef_set_in、coef_we、coef_in[9..0]等的具体参数的含义和使用方法是什么(贵公司的相关文件里并没有提到)?
[答:Altera专家]具体的你可以到ALTERA网站上下载FIR IPCORE的DATASHEET.
[问:]IP核NCO中Freq_mod_I和phase_mod_I的具体参数如何计算确定(贵公司的相关文件里并没有提到)?
[答:Altera专家]具体的你可以到ALTERA网站上下载NCO IPCORE的DATASHEET.
[问:]NIOSII中I/O的数目最大是32位,对于一组I/O的数目如果大于32位时怎么办,能否实现同时控制这些位?
[答:Altera专家]你可以直接连,AVALON总线可以自动处理.
[问:]除了NISOII处理器外,其它的器件,如MDA是否可以有共享资源(如:片内RAM)?
[答:Altera专家]可以。
[问:]对于SDRAM和FLASH的型号提供的太少,如果使用的SDRAM和FLASH的型号在NIOSII中没有怎么办?
[答:Altera专家]自己加用户逻辑,把时序填进去就可以了。
[问:]对于多个MDA,是否可以有共享资源(如:片内RAM)?
[答:Altera专家]可以。
[问:]FPGA和DSP怎么结合
[答:Altera专家]FPGA以及作为DSP的协处理器。
[问:]dspbuilder是否会出现支持verilog版本的出现
[答:Altera专家]会。
[问:]maxII是否有可能运行一个nios?
[答:Altera专家]MAXII器件不支持NIOS。
[问:]In fact ,most of cost sensitive customers select ASIC in their disign if there are existing ASIC, how about your ideas on this situation?
[答:Altera专家]FPGA有更强的灵活性。
[问:]Altera 和 Xilinx 那个更先进,那个开发环境更好啊?是Xilinx吧?
[答:Altera专家]各有长处。
[问:]"3.软件中是否提供公开的嵌入MCU51、8086等设计源码?4.请问公司最近5年对中国市场的定位如何?谢谢!"
[答:Altera专家]不提供。未来5年我们会远远超过我们的竞争机会。
[问:]PCI的IPCORE怎样调用。为什么我把核运行后不能象调用已有的核一样调用核模块
[答:Altera专家]可以的。需要LICENSE。
[问:]PCI上带有哪几种电压
[答:Altera专家]3.3 或者5.0。
[问:]PCI上面支持几种电源电压
[答:Altera专家]3.3 或者5.0。
[问:]Cyclone II系列产品如何做到更有效的保护知识产权呢?在保持较低成本的前提下。
[答:Altera专家]可以外接CPLD进行加密。
[问:]QuartusII支持在同一个工程里面VHDL和Verilog混合用吗?
[答:Altera专家]支持。
[问:]对于acex系列的器件,可不可以使用in-system-content-editor。可不可以使用signal tap II
[答:Altera专家]不可以。
[问:]工程师您好!请问,max II 系列最新的PFL功能是否可以应用在acex系列器件的配置上。采用外接flash的成本比采用专用配置器件的成本低多少?
[答:Altera专家]不可以。建议使用我们最新的器件。
[问:]Quartus II软件如何取得?
[答:Altera专家]在ALTERA网站上可以下载。
[问:]Quartus5.0正版多少钱?
[答:Altera专家]2000美金。
[问:]DMIPS中的D是什么意思?
[答:Altera专家]针对专门的一套指令。
[问:]altera有计划提供ARM7TDMI的软核吗
[答:Altera专家]没有计划。
[问:]ep2c8和ep1c6谁会便宜些
[答:Altera专家]差不多价格。同样LE,CYCLONEII会便宜30%。
[问:]ep2c8什么时候量产?
[答:Altera专家]现在已经有了。
[问:]EPM7128的混合电压特性,是怎样体现的,它的每一个Vccio在内部是连在一起的。
[答:Altera专家]每个I/O BANK支持一个电压。
[问:]"各位专家:很早就有所了解Altera的CPLD、Maxplus II及FPGA之类的超大规模集成器件和开发软件的优点,但一直没致力于这方面的研究和应用,以前也看到过身边同事用过,但专业的编程和器件干扰问题一直很让人头痛,希望能得到这方面多一些的资料和样品试用器件。谢谢"
[答:Altera专家]可以去ALTERA网站上下载。
[问:]nios ii 的优势,应用领域?
[答:Altera专家]灵活性。相当于ARM7。
[问:]altera的主要营销商的联系方式,他们之间价位差别大么?哪里的最便宜。谢谢!
[答:Altera专家]骏龙公司的最便宜。
[问:]贵公司产品的主要营销商的联系方式
[答:Altera专家]骏龙科技有限公司。
[问:]QII相对ISE来说有哪方面的特长?
[答:Altera专家]各有长处。
[问:]Cyclone II 现在已经批量生产了吗?好像现在市场上很少呀!
[答:Altera专家]你可以和骏龙科技联系。
[问:]EPXA10好像已经嵌入了ARM内核吧!
[答:Altera专家]已经停产,不建议使用。